中易网

输入时钟频率100mhz,输出为10mhz,要求占空比6:4,verilog

答案:1  悬赏:0  
解决时间 2021-01-17 14:56
  • 提问者网友:niaiwoma
  • 2021-01-16 23:00
输入时钟频率100mhz,输出为10mhz,要求占空比6:4,verilog
最佳答案
  • 二级知识专家网友:不想翻身的咸鱼
  • 2021-01-16 23:12
module div(clk,rst,clk10);
input clk,rst;
output clk10;
reg clk10_r;
reg [2:0] cnt;

always @(posedge clk or negedge rst)
if(!rst)
cnt<=3'd0;
else if(cnt==3'd4)
cnt<=3'd0;
else cnt<=cnt+1'b1;

always @(posedge clk or negedge rst)
if(!rst)
clk10_r<=1'b0;
else if(cnt==3'd4)
clk10_r<=!clk10_r;

assign clk10=clk10_r;
endmodule
至于你说的占空比,输出时钟是不能体现占空比的,时钟就是时钟。占空比的话,你可以再设一个使能信号,也是分频思想。
我要举报
如以上回答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
点此我要举报以上问答信息!
大家都在看
推荐信息