中易网

如何在verilog里, 求几个值 的min最小值, 如例

答案:1  悬赏:50  
解决时间 2021-01-12 19:29
  • 提问者网友:沦陷
  • 2021-01-11 23:47
如何在verilog里, 求几个值 的min最小值, 如例
最佳答案
  • 二级知识专家网友:一秋
  • 2021-01-12 00:58
代码如下:

module comp(
input a,
input b,
input c,
output reg g
);
reg ff;
always @(*)begin
if(a ff=a;
else
ff=b;
end
always @(*)begin
if(ff g=ff;
else
g=c;
end
endmodule
我要举报
如以上回答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
点此我要举报以上问答信息!
大家都在看
推荐信息