中易网

vhdl中portmap怎么用

答案:1  悬赏:40  
解决时间 2021-01-15 20:18
  • 提问者网友:世勋超人
  • 2021-01-14 21:39
vhdl中portmap怎么用
最佳答案
  • 二级知识专家网友:英雄的欲望
  • 2021-01-14 22:48
portmap是管脚映射,是在顶层程序的元件例化的时候用的,例如:
component press is ------------------------------这个是你要例化的元件名
port(input : in std_logic;
output :out std_logic);
end component;

signal right_flag: std_logic; -------当你portmap用到的信号程序里没有定义时,需要自己定义

key_right:press----这就是你问的PORTMAP了,这个里边的right相当于上边的input,rifht_flag
port map(right,right_flag); ---相当于上边的output,这样你上边的原件就可以放在整个-------------------------------------------------程序之中使用啦~有不明白的继续问我
我要举报
如以上回答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
点此我要举报以上问答信息!
大家都在看
推荐信息