中易网

用verilog编写算术运算器可以实现俩位十进制加法 乘法

答案:1  悬赏:70  
解决时间 2021-01-14 15:57
  • 提问者网友:遁入空寂
  • 2021-01-14 08:20
用verilog编写算术运算器可以实现俩位十进制加法 乘法
最佳答案
  • 二级知识专家网友:冷風如刀
  • 2021-01-14 09:13
问题描述有点不清楚,“两位”是指2个bit的十进制加减法吗? 我写个样例给你参考吧module example ( ina, inb, sel, out); input [1:0] ina, inb;input [1:0] sel; output [3:0] out; assign out[3:0] = (sel == 2'b00) ? ina + inb : (sel == 2'b01) ? ina * inb : ina-inb; endmodule
我要举报
如以上回答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
点此我要举报以上问答信息!
大家都在看
推荐信息