中易网

vhdl中怎样实现二进制左移一位,不是循环左移,相当于在最右边加0

答案:1  悬赏:70  
解决时间 2021-01-18 02:58
  • 提问者网友:浮克旳回音
  • 2021-01-17 22:04
vhdl中怎样实现二进制左移一位,不是循环左移,相当于在最右边加0
最佳答案
  • 二级知识专家网友:woshuo
  • 2021-01-17 22:26
如果a 为std_logic_vector(8 downto 0),则可以用如下语句实现(最右边加0)。
a <= a (7 downto 0) & 0;
我要举报
如以上回答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
点此我要举报以上问答信息!
大家都在看
推荐信息