中易网

verilog HDL 的$display显示的信息在哪里?

答案:4  悬赏:60  
解决时间 2021-04-27 23:20
  • 提问者网友:沉默的哀伤
  • 2021-04-27 11:14
我用的是quartusII9.0 ,代码如下:
module adder(count,sum,a,b,cin);
input[2:0] a,b;
input cin;
output count;
output[2:0] sum;
assign {count,sum}=a+b+cin;
initial
begin
$display($time,);
end
endmodule
最佳答案
  • 二级知识专家网友:狠傷凤凰
  • 2021-04-27 11:44
$display 等仿真系统函数是仿真用的,Quartus 是不能综合的,要仿真的话要用其他的工具,一般大家都用Modelsim.显示区见modelsim 的Transript区。
全部回答
  • 1楼网友:狙击你的心
  • 2021-04-27 15:03
在仿真工具的控制端口。
  • 2楼网友:眠于流年
  • 2021-04-27 14:10
楼上正解
  • 3楼网友:哥在撩妹请勿打扰
  • 2021-04-27 13:23
你好! 你写的是个不可综合代码,只能仿真。 $display($time,);这行代码显示当前仿真时间。 在modelsim完成comply和stimulate之后会弹出Transcrip窗口 接着点add wave,观测电平变化 仅代表个人观点,不喜勿喷,谢谢。
我要举报
如以上回答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
点此我要举报以上问答信息!
大家都在看
推荐信息